

sv pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv uvm-1.2/src/dpi/uvm_dpi.cc -ccflags -DQUESTA pakmx_config design_hdl/packet_mux.sv pakmx_if.sv +incdir+./uvm-1.2/src. Model Technology ModelSim PE vmap 10.4c Lib Mapping Utility 2015.07 Jul 20 2015Ĭ:\pk_mux>vlog. And include all class files in top module or package. Only compile files which are modules(module, package, interface.) with vlog command. uvm-1.2/src/dpi/uvm_dpi.cc -ccflags -DQUESTA pakmx_config.sv pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv pakmx_pkg.sv pakmx_tb_top.svĬompiling class files has no point here.

uvm - 1.2 /src /dpi /uvm_dpi.cc -ccflags -DQUESTA pakmx_config.sv pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv pakmx_pkg.sv pakmx_tb_top.sv with one use of vlog command. design_hdl /packet_mux.sv pakmx_if.sv + incdir +. In this case, when we compile all test files first, pakmx_tb_top.sv file also requires DUT and Interface as well, and that is being compiled with later vlog commands. Vlog -vs +incdir+./uvm-1.2/src/uvm_macros.svhĬan you go with other two approaches, which is not using vlog command multiple times. uvm-1.2/src/dpi/uvm_dpi.cc -ccflags -DQUESTA\ pakmx_config.sv pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv pakmx_pkg.sv pakmx_tb_top.sv I wrote in the compile script the following: I prefer the dirst option you have suggested.

** Error: (vlog-13069) pakmx_sequence.sv(4): near "uvm_sequence_item": syntax error, unexpected IDENTIFIER. Vlog pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv pakmx_pkg.sv pakmx_tb_top.sv uvm-1.2/src/dpi/uvm_dpi.cc -ccflags -DQUESTA I compile my project with the following script: Typedef uvm_sequencer#(pakmx_transaction) pakmx_sequencer Pm_tx = pakmx_transaction::type_id::create(.name("pm_tx"). `uvm_object_utils_begin(pakmx_transaction)Ĭlass pakmx_sequence extends uvm_sequence#(pakmx_transaction) -Ĭlass pakmx_transaction extends uvm_sequence_item I have the following code in one of the files (pakmx_sequence.sv)
